Skip to main content Skip to secondary navigation

CGRA Architecture and Tools

Main content start
Main content start
Results for: CGRA Architecture and Tools
  • Koul, Kalhan, Jackson Melchert, Kavya Sreedhar, Leonard Truong
, Gedeon Nyengele
, Keyi Zhang
, Qiaoyi Liu
, Jeff Setter
, Po-Han Chen
, Yuchen Mei
, Maxwell Strange
, Ross Daly
, Caleb Donovick
, Alex Carsello
, Taeyoung Kong, Kathleen Feng, Dillon Huff, Ankita Nayak, Rajsekhar Setaluri
, James Thomas
, Nikhil Bhagdikar
, David Durst
, Zachary Myers
, Nestan Tsiskaridze
, Stephen Richardson
, Rick Bahr
, Kayvon Fatahalian
, Pat Hanrahan
, Clark Barrett, Mark Horowitz, Christopher Torng
, Fredrik Kjolstad
, and Priyanka Raina
. “AHA: An Agile Approach to the Design of Coarse-Grained Reconfigurable Accelerators and Compilers”, ACM Transactions on Embedded Computing Systems, 22, no. 2 (January 24, 2023). https://doi.org/10.1145/3534933.
  • Nayak, Ankita, Keyi Zhang, Raj Setaluri, Alex Carsello, Makai Mann, Christopher Torng, Stephen Richardson, Rick Bahr, Pat Hanrahan, Mark Horowitz, and Priyanka Raina. “Improving Energy Efficiency of CGRAs With Low-Overhead Fine-Grained Power Domains ”, ACM Transactions on Reconfigurable Technology and Systems. https://doi.org/10.1145/3558394.
  • Liu, Qiaoyi, Dillon Huff, Jeff Setter, Maxwell Strange, Kathleen Feng, Kavya Sreedhar, Ziheng Wang, Keyi Zhang, Mark Horowitz, Priyanka Raina, and Fredrik Kjolstad. “Compiling Halide Programs to Push-Memory Accelerators”, arXiv.org. https://arxiv.org/abs/2105.12858.
  • Melchert, Jackson, Kathleen Feng, Caleb Donovick, Ross Daly, Clark Barrett, Mark Horowitz, Pat Hanrahan, and Priyanka Raina. “Automated Design Space Exploration of CGRA Processing Element Architectures Using Frequent Subgraph Analysis”, arXiv. https://doi.org/arXiv:2104.14155v1.
  • Bahr, Rick, Clark Barrett, Nikhil Bhagdikar, Alex Carsello, Ross Daly, Caleb Donovick, David Durst, Kayvon Fatahalian, Kathleen Feng, Pat Hanrahan, Teguh Hofstee, Mark Horowitz, Dillon Huff, Fredrik Kjolstad, Taeyoung Kong, Qiaoyi Liu, Makai Mann, Jackson Melchert, Ankita Nayak, Aina Niemetz, Gedeon Nyengele, Priyanka Raina, Stephen Richardson, Raj Setaluri, Jeff Setter, Kavya Sreedhar, Maxwell Strange, James Thomas, Christopher Torng, Leonard Truong, Nestan Tsiskaridze, and Keyi Zhang. “Creating an Agile Hardware Design Flow”, 2020 57th ACM/IEEE Design Automation Conference (DAC). http://dx.doi.org/10.1109/DAC18072.2020.9218553.
  • Nayak, Ankita, Keyi Zhang, Raj Setaluri, Alex Carsello, Makai Mann, Stephen Richardson, Rick Bahr, Pat Hanrahan, Mark Horowitz, and Priyanka Raina. “A Framework for Adding Low-Overhead, Fine-Grained Power Domains to CGRAs”, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). https://doi.org/10.23919/DATE48585.2020.9116477.
  • Adams, Andrew, Karima Ma, Luke Anderson, Riyadh Baghdadi, Tzumao Li, Michaël Gharbi, Benoit Steiner, Steven Johnson, Kayvon Fatahalian, Frédo Durand, and Jonathan Ragan-Kelley. “Learning to Optimize Halide With Tree Search and Random Programs”, ACM Transactions on Graphics. https://doi.org/10.1145/3306346.3322967.
  • Gao, Mingyu, Xuan Yang, Jing Pu, Mark Horowitz, and Christos Kozyrakis. “TANGRAM: Optimized Coarse-Grained Dataflow for Scalable NN Accelerators”, ASPLOS ’19: Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 807–820. https://doi.org/10.1145/3297858.3304014.
  • Bell, Steven, Jing Pu, James Hegarty, and Mark Horowitz. “Compiling Algorithms for Heterogeneous Systems”, Synthesis Lectures on Computer Architecture, 13(1), 1-105. https://doi.org/10.2200/S00816ED1V01Y201711CAC043.